Modules / Lectures


Sl.No Chapter Name MP4 Download
1Lecture 1 : Introduction to VLSI Design FlowDownload
2Lecture 2 : Introduction to VLSI Design FlowDownload
3Lecture 3 : Introduction to VLSI Design FlowDownload
4Lecture 4 : Algorithm to Efficient Architecture MappingDownload
5Lecture 5 : Algorithm to Efficient Architecture Mapping(Contd.)Download
6Lecture 6 : Algorithm to Efficient Architecture Mapping(Contd.Download
7Lecture 7 : Tutorial on Algorithm to Efficient Architecture MappingDownload
8Lecture 8 : Algorithm to Efficient Architecture Mapping(Contd.Download
9Lecture 9 : Algorithm to Efficient Architecture Mapping(Contd.Download
10Lecture 10 : Algorithm to Efficient Architecture Mapping(Contd.Download
11Lecture 11 : Algorithm to Efficient Architecture Mapping(Contd.Download
12Lecture 12 : Algorithm to Efficient Architecture Mapping(Contd.Download
13Lecture 13 : Algorithm to Efficient Architecture MappingDownload
14Lecture 14 : Algorithm to Efficient Architecture Mapping(Contd.)Download
15Lecture 15 : Efficient Adder ArchitectureDownload
16Lecture 16 : Efficient Adder Architecture (Contd.)Download
17Lecture 17 : Efficient Adder Architecture (Contd.)Download
18Lecture 18 : Efficient Adder ArchitectureDownload
19Lecture 19 : Efficient Adder ArchitectureDownload
20Lecture 20 : Efficient Adder ArchitectureDownload
21Lecture 21 : Efficient Adder ArchitectureDownload
22Lecture 22 : Efficient Adder ArchitectureDownload
23Lecture 23 : Efficient Adder ArchitectureDownload
24Lecture 24 : Efficient Adder ArchitectureDownload
25Lecture 25 : Pipelining and Parallel ProcessingDownload
26Lecture 26 : Pipelining and Parallel ProcessingDownload
27Lecture 27 : Multiplier ArchitectureDownload
28Lecture 28 : Multiplier ArchitectureDownload
29Lecture 29 : Multiplier ArchitectureDownload
30Lecture 30 : Multiplier ArchitectureDownload
31Lecture 31: Multiplier ArchitectureDownload
32Lecture 32: Multiplier ArchitectureDownload
33Lecture 33: Multiplier ArchitectureDownload
34Lecture 34: Multiplier ArchitectureDownload
35Lecture 35: Squaring Circuit DesignDownload
36Lecture 36: Reconfigurable Constant Multiplier DesignDownload
37Lecture 37 : Reconfigurable Constant Multiplier DesignDownload
38Lecture 38 : Reconfigurable Constant Multiplier DesignDownload
39Lecture 39 : Fixed Point Number RepresentationDownload
40Lecture 40 : Fixed Point Number RepresentationDownload
41Lecture 41 : CORDIC ArchitectureDownload
42Lecture 42 : CORDIC ArchitectureDownload
43Lecture 43 : CORDIC ArchitectureDownload
44Lecture 44 : CORDIC ArchitectureDownload
45Lecture 45 : Timing AnalysisDownload
46Lecture 46 : Timing AnalysisDownload
47Lecture 47 : Timing AnalysisDownload
48Lecture 48 : Logic HazardDownload
49Lecture 49: FFT ArchitectureDownload
50Lecture 50: FFT Architecture (Contd.)Download
51Lecture 51: Timing analysis BasicsDownload
52Lecture 52: Timing analysis Basics (Contd.)Download
53Lecture 53: Timing analysis Basics (Contd.)Download
54Lecture 54: Timing Issuesin Digital IC DesignDownload
55Lecture 55: Timing Issuesin Digital IC Design (Contd.)Download
56Lecture 56: Timing Issuesin Digital IC Design (Contd.)Download
57Lecture 57: Timing Issuesin Digital IC Design (Contd.)Download
58Lecture 58: Architectural Design of Digital Integrated CircuitsDownload
59Lecture 59: Design Tips for Basic Circuits Design ( Contd. )Download
60Lecture 60: Design Tips for Basic Circuits Design ( Contd. )Download
61Lecture 61: Design Tips for Basic Circuits Design ( Contd. )Download
62Lecture 62: Low Power Digital DesignDownload
63Lecture 63: Low Power Digital Design ( Contd. )Download
64Lecture 64: Low Power Digital Design Download
65Lecture 65: Low Power Digital Design ( Contd. )Download
66Lecture 66: Hardware for Machine Learning : Design Considerations Design TipsDownload
67Lecture 67: Hardware for Machine Learning : Design Considerations Design Tips ( Contd. )Download

Sl.No Chapter Name English
1Lecture 1 : Introduction to VLSI Design FlowDownload
Verified
2Lecture 2 : Introduction to VLSI Design FlowDownload
Verified
3Lecture 3 : Introduction to VLSI Design FlowDownload
Verified
4Lecture 4 : Algorithm to Efficient Architecture MappingDownload
Verified
5Lecture 5 : Algorithm to Efficient Architecture Mapping(Contd.)Download
Verified
6Lecture 6 : Algorithm to Efficient Architecture Mapping(Contd.Download
Verified
7Lecture 7 : Tutorial on Algorithm to Efficient Architecture MappingDownload
Verified
8Lecture 8 : Algorithm to Efficient Architecture Mapping(Contd.Download
Verified
9Lecture 9 : Algorithm to Efficient Architecture Mapping(Contd.Download
Verified
10Lecture 10 : Algorithm to Efficient Architecture Mapping(Contd.Download
Verified
11Lecture 11 : Algorithm to Efficient Architecture Mapping(Contd.Download
Verified
12Lecture 12 : Algorithm to Efficient Architecture Mapping(Contd.Download
Verified
13Lecture 13 : Algorithm to Efficient Architecture MappingDownload
Verified
14Lecture 14 : Algorithm to Efficient Architecture Mapping(Contd.)Download
Verified
15Lecture 15 : Efficient Adder ArchitectureDownload
Verified
16Lecture 16 : Efficient Adder Architecture (Contd.)Download
Verified
17Lecture 17 : Efficient Adder Architecture (Contd.)Download
Verified
18Lecture 18 : Efficient Adder ArchitectureDownload
Verified
19Lecture 19 : Efficient Adder ArchitectureDownload
Verified
20Lecture 20 : Efficient Adder ArchitectureDownload
Verified
21Lecture 21 : Efficient Adder ArchitectureDownload
Verified
22Lecture 22 : Efficient Adder ArchitectureDownload
Verified
23Lecture 23 : Efficient Adder ArchitectureDownload
Verified
24Lecture 24 : Efficient Adder ArchitectureDownload
Verified
25Lecture 25 : Pipelining and Parallel ProcessingDownload
Verified
26Lecture 26 : Pipelining and Parallel ProcessingDownload
Verified
27Lecture 27 : Multiplier ArchitectureDownload
Verified
28Lecture 28 : Multiplier ArchitectureDownload
Verified
29Lecture 29 : Multiplier ArchitectureDownload
Verified
30Lecture 30 : Multiplier ArchitectureDownload
Verified
31Lecture 31: Multiplier ArchitectureDownload
Verified
32Lecture 32: Multiplier ArchitectureDownload
Verified
33Lecture 33: Multiplier ArchitectureDownload
Verified
34Lecture 34: Multiplier ArchitectureDownload
Verified
35Lecture 35: Squaring Circuit DesignDownload
Verified
36Lecture 36: Reconfigurable Constant Multiplier DesignDownload
Verified
37Lecture 37 : Reconfigurable Constant Multiplier DesignDownload
Verified
38Lecture 38 : Reconfigurable Constant Multiplier DesignDownload
Verified
39Lecture 39 : Fixed Point Number RepresentationDownload
Verified
40Lecture 40 : Fixed Point Number RepresentationDownload
Verified
41Lecture 41 : CORDIC ArchitectureDownload
Verified
42Lecture 42 : CORDIC ArchitectureDownload
Verified
43Lecture 43 : CORDIC ArchitectureDownload
Verified
44Lecture 44 : CORDIC ArchitectureDownload
Verified
45Lecture 45 : Timing AnalysisDownload
Verified
46Lecture 46 : Timing AnalysisDownload
Verified
47Lecture 47 : Timing AnalysisDownload
Verified
48Lecture 48 : Logic HazardDownload
Verified
49Lecture 49: FFT ArchitectureDownload
Verified
50Lecture 50: FFT Architecture (Contd.)Download
Verified
51Lecture 51: Timing analysis BasicsDownload
Verified
52Lecture 52: Timing analysis Basics (Contd.)Download
Verified
53Lecture 53: Timing analysis Basics (Contd.)Download
Verified
54Lecture 54: Timing Issuesin Digital IC DesignDownload
Verified
55Lecture 55: Timing Issuesin Digital IC Design (Contd.)Download
Verified
56Lecture 56: Timing Issuesin Digital IC Design (Contd.)Download
Verified
57Lecture 57: Timing Issuesin Digital IC Design (Contd.)Download
Verified
58Lecture 58: Architectural Design of Digital Integrated CircuitsDownload
Verified
59Lecture 59: Design Tips for Basic Circuits Design ( Contd. )Download
Verified
60Lecture 60: Design Tips for Basic Circuits Design ( Contd. )Download
Verified
61Lecture 61: Design Tips for Basic Circuits Design ( Contd. )Download
Verified
62Lecture 62: Low Power Digital DesignDownload
Verified
63Lecture 63: Low Power Digital Design ( Contd. )Download
Verified
64Lecture 64: Low Power Digital Design Download
Verified
65Lecture 65: Low Power Digital Design ( Contd. )Download
Verified
66Lecture 66: Hardware for Machine Learning : Design Considerations Design TipsDownload
Verified
67Lecture 67: Hardware for Machine Learning : Design Considerations Design Tips ( Contd. )Download
Verified


Sl.No Language Book link
1EnglishDownload
2BengaliNot Available
3GujaratiNot Available
4HindiNot Available
5KannadaNot Available
6MalayalamNot Available
7MarathiNot Available
8TamilNot Available
9TeluguNot Available